סל הקניות
0 פריטים
 
             קטגוריות
 

Altera Cyclone II

Altera Cyclone II
לחץ להגדיל
מחיר ₪30
זמינות המוצר במלאי
דגם COM-10750
יצרנים sparkfun
דירוג ממוצע ללא דירוג

Share |


כמות הוסף לסל

Altera Cyclone II

The Cyclone II is a high-density, low-cost FPGA from Altera.
The optimized feature set of Cyclone II FPGAs

make them ideal solutions for a wide array of automotive,
consumer, communications, video processing, test and measurement, and other end-market solutions.
 

 

מאפיינים

  • 8,256 Logic Elements
  • 516 LABs/CLBs
  • 165,888 bits of RAM
  • 138 usable I/O pins

תיעוד

כתוב בקורת
שם פרטי


ביקורת : הערה ללא תרגום מ HTML!

דירוג רע            טוב

הכנס את הקוד התיבה

Altera Cyclone II
לחץ להגדיל
Altera Cyclone II
לחץ להגדיל
Altera Cyclone II
לחץ להגדיל
Altera Cyclone II
לחץ להגדיל
תגיות Altera Cyclone II,